Публикации

Особенности разработки САПР для проектирования и верификации конфигурации ПЛИС

08.10.2019 Simtera ПЛИС Verilog HDL VHDL FPGA
Скачать PDF
Стр. 1
Стр. 2
Стр. 3

Другие публикации

  • Развитие отечественных САПР проектирования микроэлектроники на платформе Delta Design

    Электроника: НТБ, № 1, 2024

  • Учёт и оценка влияния параметров переходного отверстия на передачу высокочастотного сигнала

    Современная электроника, №5, 2024

Будьте в курсе новостей и спецпредложений

Авторизация
Чтобы продолжить покупку, пожалуйста, авторизируйтесь на сайте.
Забыли пароль?